Skip to content

  1. Lavaggio wafer 4" con 680 nm SiO2: ACE 3 min @ 80 °C + IPA 3 min @ 80 °C;
  2. RIE - Hard plasma clean (300 W, 50 sccm O2, 5 min);
  3. Trattamento con HDMS a 150 °C;
  4. Spin 3 ml photoresist negativo ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out;
  5. Prebake 100 °C × 2 min;
  6. Exposure 20 s @ 4.5 mW/cm2 CI1;
  7. Develop 1 min 20 s con ma-D 533-S + DI rinse and blow dry N2;
  8. Etch 10 min con BOE;
  9. Remove photoresist con NMP 3 min RT + DI rinse and blow dry N2;
  10. Taglio campioni 20 × 20 mm con diamond scriber force 15 g;
  11. Lavaggio con ACE 3 min @ 80 °C + IPA 3 min @ 80 °C;
  12. RIE - Hard plasma clean;
  13. Trattamento con HDMS a 150 °C;
  14. Spin 0.5 ml photoresist negativo ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out;
  15. Prebake 100 °C x 2 min;
  16. Exposure 15 s @ 4.5 mW/cm2 CI1 - maschera wires;
  17. Develop 1 min 20 s con ma-D 533-S + DI rinse and blow dry N2;
  18. Sputter deposition 5 nm Cr + 500 nm Al-Si 1%;
  19. Soak in NMP 15 min @ 80 °C + 3 min US @ power 50% + 1 min US power 100%;
  20. DI rinse and dry;
  21. RIE - Hard plasma clean;
  22. Annealing @ 400 °C × 60 min in flusso N2;
  23. Lavaggio con IPA 3 min @ 80 °C;
  24. RIE - Hard plasma clean;
  25. Trattamento con HDMS a 150 °C;
  26. Spin 0.5 ml photoresist negativo ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out;
  27. Prebake 100 °C x 2 min;
  28. Exposure 15 s @ 4.5 mW/cm2 CI1 - maschera devices;
  29. Develop 1 min 20 s con ma-D 533-S + DI rinse and dry;
  30. Taglio dies 3.5 × 3.5 mm con diamond scriber force 15 g;
  31. Lavaggio con DI + blow dry N2 su spinner 10.000 rpm.
  32. Cernita delle sonde: fabbricate n. 64, scartate n. 12, utilizzabili n. 52.

Parametri bonder Kulicke-Soffa:
1st bond (pad): force 1.5, time 2, power 2.2
2nd bond (portachip): force 2, time 2, power 2.1
N.B.: per pad difficoltosi portare power a 2.4

  • tagliato substrati Si(100) + 600 nm SiO2 20x20 mm.
  • lavati con ACE 80 C + IPA 80 C x 3 min + ultrasuoni.
  • RIE Hard cleaning (300 W plasma O2 x 5 min).
  • dehydration + HMDS in forno a vuoto.
  • spin ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out.
  • prebake 100 C x 120 s hotplate.
  • test tempo di sviluppo con ma-D 533-S su unexposed resist: 1 min 20 s, incluso incremento 20% per undercut.
  • esposizione 15 s CI1 @ 4.5 mW/cm2 maschera 'wires'.
  • sviluppo 1 min 20 s con ma-D 533-S + DI rinse.
  • deposizione per sputtering 5 nm Cr + 500 nm Al
  • liftoff con NMP 3 min 80 C + 3 min 80 C + ultrasuoni (risultato metallizzazione imprecisa, forse film troppo spesso).
  • RIE Hard cleaning (300 W plasma O2 x 5 min).
  • dehydration + HMDS in forno a vuoto.
  • spin ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out.
  • prebake 100 C x 120 s hotplate.
  • esposizione 15 s CI1 @ 4.5 mW/cm2 maschera 'devices'.
  • sviluppo 1 min 20 s con ma-D 533-S + DI rinse.

Non è stato possibile bondare i pad causa distacco della metallizzazione dal substrato. Annealing in vuoto o i N2 a 500 C per 60 min risolve il problema. Parametri ottimali per il bonder: 1st bond (pad) force=1, time=1.5, power=2.1, 2nd bond (PCB) force=2, time=3, power=2.3. Al wire 25 um.

Valutazione del tempo di sviluppo e della dose di esposizione per il resist negativo ma-N 1420.

Procedura
- Spin del photoresist a 5000 rpm x 30", preceduta da spreading a 500 rpm per 10", accelerazione 1500 rpm/sec2, static dispense.
- Prebake a 100 °C per 120".

Si preparano 4 substrati come riportato sopra - SiO2 600 nm on Si(100) - e si misura il tempo di rimozione completa del resist non-esposto in developer ma-D 533-S. Il tempo di rimozione del resist non-esposto risulta essere 61±4 s. Si assume quindi un tempo di sviluppo maggiorato del 20% pari a 73 s.

Per la valutazione del tempo di esposizione si preparano quattro substrati coated come riportato sopra e si espongono nel mask aligner con maschera vetro-cromo e canale CI1 per tempi di esposizione decrescenti. Si sviluppano per per 73 s e si osserva la qualità delle geometrie sviluppate al microscopio. La qualità delle diverse geometrie è roportata qui sotto.

SampleExp CI1 [s]PadsWiresNumbersWritingsThickness [µm]
A60OKOK50%10%1.644
B40OKOKOK30%1.594
C30no prebake
D20OKOKOK50%1.558

La dose di esposizione ideale sembra quindi essere fra 40 e 20 s.

Deposizione 50 nm (nom) di Nb in DC, 70 W, 5 mTorr, rotazione 12 rpm, RT, sorgente centrale su vetrino microscopio. Misura spessore con profilometro e sheet resistance con 4 point probe in funzione della distanza dal bordo.

Vetrino montato su sample holder sputtering.
Vetrino dopo liftoff pennarello.
Spessore e sheet resistance vs distanza dal bordo.

Commenti: 1) misura spessore rumorosa (pulizia vetrino? spessore basso?); 2) da verificare: effetto distanza dal bordo nella misura 4 punte - probabilmente primo e ultimo punto sono da scartare.

Prima esposizione e sviluppo

  1. tagliati substrati SiO2 (600 nm) / Si (100) 20 x 20 mm
  2. scrub con acetone;
  3. lavati con acetone e IPA a 80 C e ultrasuoni;
  4. N2 blow dry;
  5. dispense 0.5 ml di resist ma-N 1420;
  6. spin @ 3000 rpm x 60 s (rampe 500 rpm/s);
  7. hotplate 100 C x 2 min;
  8. exposure 4.5 mW/cm2 @ 365 nm x 2.04 min (550 mJ/cm2);
  9. develop ma-D 533-S x 100 s
  10. DI rinse + N2 blow dry;
  11. spessore effettivo resist: 1.86 um.

Photoresist dopo la prima esposizione e sviluppo.
Photoresist dopo la prima esposizione e sviluppo.

Metallizzazione e lift-off

  1. Evaporazione termica di 5 nm di Cr (corrente 30 A)
  2. Evaporazione termica 100 nm Au (corrente 130 A)
  3. NMP 80 C x 3 min + ultrasuoni
  4. NMP 80 C x 10 min
  5. NMP 80 C x 3 min + ultrasuoni
  6. DI rinse + N2 blow dry
  7. IPA + N2 blow dry

Strutture dopo metallizzazione e lift-off.
Strutture dopo metallizzazione e lift-off.

Seconda esposizione e sviluppo

  1. dispense 0.5 ml di resist ma-N 1420;
  2. spin @ 3000 rpm x 60 s (rampe 500 rpm/s);
  3. hotplate 100 C x 2 min;
  4. exposure 4.5 mW/cm2 @ 365 nm x 2.04 min (550 mJ/cm2);
  5. develop ma-D 533-S x 100 s
  6. DI rinse + N2 blow dry;

Photoresist dopo la seconda esposizione e sviluppo.
Photoresist dopo la seconda esposizione e sviluppo.

Dettaglio sull'allineamento delle due esposizioni.
Dettaglio sull'allineamento delle due esposizioni.

Deposizione del materiale e lift-off

  1. Deposizione 100 nm AZO 4% (campione #69, 5 mTorr, ZnO 120 W RF, Al 0.14 A, 260 V DC, rate 1.0 Å/s, process #13, film #3, 1875 Å nom);
  2. Deposizione 100 nm SiO2 (90 W RF, rate 0.33 Å/s, process # 25, film # 25, 1825 Å nom).
  3. misura profilometro: AZO 223 nm, Au wires 96.5 nm;
  4. soak in NMP 80 °C x 10 min + 10 min ultrasuoni, rinse IPA, N2 blow dry.

Dispositivo #69-4B.
Dispositivo #69-4B.

Dipositivo #69-2C
Dipositivo #69-2C

 

Dettaglio dispositivo #69-4B.
Dettaglio dispositivo #69-4B.

Dettaglio dispositivo #69-2C
Dettaglio dispositivo #69-2C

 

 

L'illuminazione della cella è fatta con lampada a Xe ad alta pressione (Osram XBO 75W/2, versione non-ozone-free) alimentata alla corrente nominale (5.4 A), inserita in illuminatore Oriel serie Q (mod. 60064) con condensatrice F1 (mod. 60076), specchio dicroico a 90° (mod. 66245) e diaframma a iride (mod. 62030). La lente condensatrice e il diaframma vengono impostati in modo da avere uno spot di diametro circa 1 cm il più uniforme possibile a una distanza di lavoro di circa 7 cm.

Pippo
Sistema di illuminazione della cella DSSC con lampada high-pressure Xe arc.

La misura della fotocorrente è fatta con un sourcemeter (source I, measure V) Keithley mod. 2400. L'elettrodo positivo della cella (Pt) viene collegato a input/output (+); l'elettrodo negativo della cella (TiO2) viene collegato a input/output (-). Il software di acquisizione (IVscan.exe) fa operare il sourcemeter in 4-wires mode, quindi è necessario collegare i terminali 4-wire sense ai corrispettivi input/output, rispettando le polarità. Vengono eseguite scansioni di corrente sul IV quadrante, ossia impostando correnti negative e misurando tensioni positive. Occorre valutare con una certo margine di sicurezza la corrente massima da prelevare per evitare il cambio di polarità della cella.

I-V
Curve I-V della cella DSSC (rosso) e del fotodiodo al Si di riferimento (blu).

La cella illuminata (area attiva 6x6 mm2) ha una tensione a circuito aperto pari a 668 mV, una corrente di cortocircuito di 5.3 mA ed una potenza erogata massima di 2.00 mW (circa 430 mV, 4.6 mA). Nelle stesse condizioni di illuminazione viene anche eseguita una misura I-V su un fotodiodo al Si (Centronic UV Enhanced OSD100-7) preso come riferimento (curva blu).

Abbiamo utilizzato il Test Cell Kit (mod. 74992) fornito dalla Solaronix.

  1. Sintering strato di titania: 450°C per 20 minuti.
  2. Preparazione soluzione colorante: 2.02 mg di dye – 6.58 mg acido – 5 mL metanolo.
  3. Al termine del lo step 1, il vetro TCO con lo strato di titania viene immerso nella soluzione per 10h30’.
  4. In parallelo allo step 3 di colorazione, è stato effettuato il sintering del catodo (vetro TCO con lo strato di platino) a 450°C per 20 minuti.
  5. Assemblaggio cella utilizzando i gasket del kit. L’anodo e il catodo vengono opportunamente sovrapposti in modo che il foro presente sul cadoto cada all’interno dell’area ricoperta dalla titania. La cella viene appogiata sul disco di Si del forno. Sulla superficie superiore viene appoggiato un isolante termico (in particolare tre vetrini da laboratorio) e un peso, come in figura. La chiusura della cella avviene alla temperatura di 140°C ed ha una durata di 45 secondi.
  6. Infine la cella è stata sigillata utilizzando i tappi forniti dal kit. Per fissare il tappo, il parallelepipedo metallico ‘leggero’ è stato appogiato per una decina di minuti sulla piastra del forno a 140°C e poi è stato appogiato sulla superficie superiore della cella.

pippo
Sigillatura della cella DSSC con gasket in Surlyn.

CAMPIONI

#50: Primo test fabbricazione EBL 100 nm SiO2/20 nm AZO/100 nm SiO2/SiO2/Si, con geometria Van der Pauw, piazzole e contatti in Au evaporato termicamente. Per errore abbiamo lasciato acceso Al durante deposizione di parte del capping di SiO2. Lo spessore dei fili di Au è venuto per sbaglio 40 nm.
Misura 4W ha bruciato strutture A. Resistenze di contatto basse (vedi post prec), Rs=870 Ohm/sq. Misure Hall.

#18_2: 100 nm SiO2/20 nm AZO/100 nm SiO2, pad Au, spessore fili 40 nm, EBL (conduce molto poco)

#18_3:100 nm SiO2/20 nm AZO/100 nm SiO2, pad Al, spessore fili 40 nm, EBL (non conduce)

#60: EBL su SiO2/Si, contatti Au fili 40 nm, diviso in 4 dopo deposizione piste Au e resist per AZO (prima di deposizione AZO), tagliato con scriber in 4 parti, una frantumata, una ha una struttura macchiata, usiamo gli altri due; lavati in IPA e ultrasuoni per circa 30 s e asciugati con N2 poi in vuoto. Depositato a fianco un film continuo con rigo di pennarello su SiO2 10x10 mm2 lavato:

#60A: 300 nm AZO capped 100 nm NOM SiO2 (di più, tempo non ricalcolato; 4W                          non misura il film continuo; profilometro = 498 nm (200+298);                                                Rs= 32 Ohm/sq)
#60B: 100 nm AZO capped 100 nm SiO2 (profilometro = 223 nm (100+123);                                   Rs= 250 Ohm/sq )

#61: EBL su SiO2/Si, contatti Au fili 40 nm, diviso in 4, come sopra. Dei 4 ottenuti, uno ha due pad tagliati (errore nel processo litografico), uno ha una piccola crepa sul contatto di un pad; usiamo prima gli altri due. Anche qui depositato film continuo con rigo per ogni campione.

#61A: 50 nm AZO + capping 100 nm SiO2; profilometro = 157 nm (50+100)
#61B: 20 nm AZO+ capping 100 nm SiO2; profilometro = 108 nm (20+88)
#61C: 30 nm AZO + capping 100 nm SiO2

Misure elettriche campioni #60-#61:
Serie 01 - misura Van der Pauw a quattro punte prima del bonding
Serie 02 - misura contact check, Van der Pauw e Hall dopo bonding, corrente ca 10 uA
Serie 03 - misure (tutte) ripetute con la massima corrente possibile
Serie 04 - misura Hall S60B1 in diverse posizioni all'interno del magnete (ORI=posizione centrale, UP, DO=down, Sx=sinistra, DX=destra, RE=rear, FR=front di circa 1 cm rispetto alla posizione centrale di origine).

Serie 05 - misure Hall ripetute dopo 8 giorni in aria (02ago17)
Serie 06 - misure Hall ripetute il 26set17 (campioni rimasti in aria)

Campioni per il beamtime:
Campioni conservati in scatoline wafer a faccia in giù in glove box, mandati a Bisio per crescere Au; tenuto a Modena per NP #63D. SiO2 marcati sul retro; MgO 2-side polished marcato su angolo basso dx. EDX su #63° e #62B (AZO/SiO2) – 14set17

#62A-C: 100 nm AZO doped 4 at.% on SiO2/Si (A,B) and MgO 2-side polished (C)
#63A-D: 100 nm AZO doped 2 at.% on SiO2/Si (A,B,D) and MgO 2-side polished (C)
#64A-C: 100 nm ZnO undoped on SiO2/Si (A,B) and MgO 2-side polished (C)

Campioni per misure Hall capped MgO

#65: EBL su SiO2, contatti Au fili 40 nm, diviso in 4, come sopra. Per ogni campione depositato un film continuo su  SiO2 e uno su Mgo 2-side polished.

#65A: 50 nm AZO + capping 100 nm MgO
#65B: 30 nm AZO + capping 100 nm MgO
#65C: 20 nm AZO + capping 100 nm MgO
#65D: 10 nm AZO + capping 100 nm MgO

Sotto N2 in glove box; bonded in 2h (tutti insieme in aria).
Misure Hall 26set17, lasciati in aria nella scatolina. Misure 4W in N2 dei continui – funziona.

Ripetizione misure Hall 6ott17 dopo 10 giorni in aria. Le Rs sono sostanzialmente identiche. Le Hall sono leggermente diverse (fluttuazione?). Smontando un portachip si sono rotti i fili bondati dei campioni 65C e D.

Campioni per misure pump-probe Roma:
#66: 100 nm ZnO/SiO2/Si
#67: 300 nm AZO 1 at.% su quarzo 15x15 mm2
#68: 300 nm AZO 2 at.% su quarzo (marcati A, B) – su questi verranno deposte NP Au
Ogni campione su quarzo è marcato col relativo numero nell’angolo in basso a destra sulla superficie; quello su SiO2/Si è marcato sul retro.

Terza serie vs spessore - Sonde Hall VdP fatte con litografia ottica su SiO2/Si, 100 nm SiO2 capping:
#69: 100 nm AZO 4at.% capped 100 nm SiO2 (90 W)
#70: 50 nm AZO capped 100 nm SiO2
Sostituzione target Al
#71: 30 nm AZO capped 100 nm SiO2
#72: 20 nm AZO capped 100 nm SiO2

Campioni in glovebox. Studio invecchiamento.

Prima prova fabbricazione capacitori – 4 capacitori+1 sonda VdP su SiO2/Si, EBL
#73: 100 nm SiO2 (isolante)/30 nm AZO/100 nm SiO2 (capping); 4 ripetizioni, tagliati – sbagliata la sequenza di deposizione (fatto prima Au e dopo SiO2, non si riesce a bondare)

#74: 100 nm SiO2 (isolante)/30 nm AZO/100 nm SiO2 (capping); sequenza giusta: SiO2 – Au – AZO+SiO2

Prima fabbricazione capacitori su BTO/STO:
#75: 100 nm SiO2 (isolante)/contatti Au/30 nm AZO/100 nm SiO2 (capping) su campione 642 (Trieste), tagliato leggermente (cleavato con lama e martello) per fittare la sede del portachip.
Caratterizzazione elettrica IV e CV a Modena; a Genova per caratterizzazione ottica in campo applicato; (Milano Polimi per caratterizzazione ferroelettrica)

NEW TARGET ZnO (21 june 2018)

#76: 300 nm AZO 4 at.% on MgO 2-side, quartz and SiO2/Si – Checked thickness with profilometer and EDX

#77: 300 nm AZO 4 at.% on MgO 2-side – grains visible at ESEM, instability during deposition, shaded substrate

Left target stabilize for long time; removed black powder in chamber.

#78-81: New AZO depositions, still unstable and dark samples.

Bakeout of the chamber and Ti deposition, cleaned chamber.

***********************************************************
Samples for FTAS in Rome:

#82: 300 nm AZO 5.3 at.% (EDX) on MgO 2-side and SiO2/Si
#83: 300 nm AZO 2.3 at.% (EDX) on MgO 2-side and SiO2/Si
#84: 300 nm ZnO on MgO 2-side and SiO2/Si
#85: 300 nm AZO 4 at.% (EDX) on MgO 2-side and SiO2/Si
#86: 300 nm AZO 1.8 at.% (EDX) on MgO 2-side and SiO2/Si
For 82-86 series: SEM, Hall, 4W, EDX, profilometry before sending to Rome.
********************************************************************

#87: 50 nm AZO 2at.% on 3 supports of SiO2/Si (A-C), 2 MgO 2-side (D,E) – deposition of Au NP Ragno2 su 87A.
SEM, EDX: NP ok, AZO grains on surface. Instability during deposition.

 #88 - #91: Repetition of 4 identical samples of 300 nm AZO on SiO2/Si for imaging SEM. Profilometry, 4W probe, probes for Hall measurements, to understand sample reproducibility.

#92: Fabrication capacitors by EBL, 30 nm AZO capped on BTO #655(?). On the same substrate previously done Au contacts (pad d=180 um, through FIB mask) and contacts AZO/Au (pad d=400 um) to test all  procedure steps. During AZO deposition made also film on MgO for optical reference and on SiO2/Si to calibrate thickness SiO2 (destroyed in glovebox). Bonded on portachip 16pin, test capacitors IV and CV. In Genova for ellipsometry.

#93: 300 nm AZO/MgO reference per ellissometria (a Genova).

#94: 100 nm SiO2/MgO reference per ellissometria (a Genova).

Test: deposizione di Au su BTO816 capacitori attraverso retina TEM.

#95: deposizione di Au/100 nm AZO 4% su BTO 817, capacitori attraverso retina TEM.

*******************************
Campioni per FTAS Roma:

#96: AZO 2at.%+Au NPS –
96A: 50nm AZO 2 at%/MgO 2-side usato per test Au NPs GAS - fallito
96B: 50nm AZO 2 at%/0.5 nm Au NP/50nm AZO 2% su MgO 2-side polished. Au NPs per MBE. Il capping è stato cresciuto contemporaneamente al #98.
96C: 50nm AZO 2 at%/SiO2/Si usato per test Au NPs deposition, wedge 1-3-5 nm Au, STM e XPS anche del substrato.

#97: ZnO+Au NPs -
97A: 50nm ZnO/0.5 nm Au NP/50 nm ZnO su MgO 2-side;
97B: uguale ad A ma senza NP. I due film di ZnO sono stati cresciuti contemporaneamente per i due campioni (deposizione simultanea) quindi dovrebbero essere identici.
97C: 50 nm ZnO su SiO2/Si (in glove box).
97D: 50 nm ZnO/0.5 nm Au/50 nm ZnO su vetro Corning per misure ottiche Modena.

#98: 50nm AZO 2 at%/50nm AZO 2% su MgO 2-side. Campione di riferimento per confronto con 96B ma senza NPs, capping depositato simultaneamente a #96B.
*************************************************

#99: Fabbricazione capacitori mediante EBL – 30 nm AZO capped 100 nm SiO2 su BTO 838 up. 8 capacitori, bondato su 8-pin (buoni 6 capacitori, non funzionano capacitore 3EBL bondato su pin1 e 4EBL non bondato, massa su pin 2 portachip), test capacitori IV-CV. A Genova per misure ellissometria. Contemporaneamente alla deposizione dell’AZO depositato un reference 30nm AZO/100 nm SiO2 su MgO 1-side polished per ellissometria (numerato sempre #99).

#101: 300 nm ZnO su MgO 1-side polished per reference ellissometria

#102: 300 nm AZO 4 at.% su MgO 1-side polished per reference ellissometria

#103: Deposizione capacitori 30 nm AZO/Au attraverso retina TEM su BTO 838 bottom; 80 W ZnO causa bassa pressione per mantenere costante la rate.

Test sulla rate a diverse pressioni base con ossigeno durante deposizione AZO.

#104: 300 nm AZO 4at.% su ragnetto Hall e SiO2/Si; 120 W, 1000 Ar, 60 O2, Al 30 W; instabile scarica su Al.

Test per ZnO rugosità:

#105: 300 nm ZnO/SiO2 (A,B,C); 120 W, no O2, 250 Ar.

#106: 300 nm ZnO su SiO2/Si capped SiO2 10 nm (120 W), condizioni standard.

Per FTAS:

#107: 30 nm ZnO su quarzo; SEM, ottico; deposizione Ag NPs con GAS; ancora SEM, ottico; deposizione 30 nm ZnO capping durante deposizione 108; ancora ottico, XPS per controllare copertura NP.

#108: 30 nm ZnO su 2 quarzi (A,B); uno per Ag NPs (A), l’altro reference per FTAS (B); capping con 30 nm ZnO. Ottico su A per test finale. Campioni a Roma.

Riduzione rugosità e dewetting Au  (i campioni su MgO sono a Genova):

#109: 100 nm ZnO su SiO2/Si solite condizioni
#110: 300 nm ZnO su MgO 2 mTorr Ar, RT
#111: 300 nm ZnO (su SiO2 e MgO?) T=300°C (set 500°C), 5 mTorr, 120 W
#112: 300 nm ZnO (su SiO2 e MgO) 65 W, RT, 5 mTorr Ar
#113: 300 nm ZnO (su SiO2 e MgO) 1000 flux Ar, 60 flux O2, 120 W, 5 mTorr, RT
#114: 100 nm ZnO (su SiO2 e MgO) 300°C, 250 flux Ar, 5 mTorr, 120 W

CAMPIONI PER Trieste SPRINT settembre 2019

#114: 100 nm ZnO (su SiO2 e MgO) 300°C, 250 flux Ar, 5 mTorr, 120 W
#115: 300 nm AZO 4%, T=300°C
#116: 100 nm AZO 4%, RT su SiO2, MgO 1-side e 2-side
#117: 100 nm AZO 4% T=300°C
#118: 100 nm AZO 4% RT
#119: 100 nm AZO 2% T=300°C su 2 MgO 1-side e 2 MgO 2-side 5x5 mm, 1 SiO2

*******************************************************************************

#120: 300 nm ZnO RT su campioni Vincent stripes mascherati
#121: 300 nm ZnO RT su campione continuo 17/06/2019 triangolare + SiO2/Si per confronto

 

Questo esperimento è preliminare alla fabbricazione litografica di strutture gated di AZO su BTO, il cui processo di fabbricazione è illustrato qui sotto:

caption
Vista in sezione del processo di fabbricazione litografica di strutture gated di AZO su BTO. La parte attiva del dispositivo è il condensatore a facce parallele formato dall'elettrodo superiore di AZO, dal dielettrico BTO e dall'elettrodo inferiore formato dal substrato conduttivo in STO:Nb (non riportato in figura). Il condensatore viene polarizzato attraverso l'elettrodo in Au ed il substrato. Sullo strato di SiO2 vengono ricavate le finestre che definiscono la parte attiva del dispositivo.

Tutta la struttura verrà fabbricata su un substrato di STO:Nb (substrato conduttivo, non indicato in figura, con funzione di elettrodo di riferimento) sul quale viene depositato un film di BTO. Si prevede che il film di BTO possa contenere difetti (e.g. conduction paths) e quindi non può essere considerato un film isolante. Per questo motivo viene depositato lo strato indicato come SiO2, che fornisce un supporto isolante agli elettrodi in Au e definisce le aree attive del dispositivo. Il film indicato come "AZO" (colore rosso in figura) è un bistrato di AZO (20 nm), conduttivo, ricoperto da uno strato protettivo ed isolante di SiO2 (100 nm). Infine lo strato indicato come Au (colore giallo in figura) è un bistrato Cr (5 nm) ricoperto di Au (100 nm). La tensione di gate viene applicata, attraverso il contatto di Au, fra il film di AZO ed il substrato, polarizzando quindi il film ferroelettrico di BTO.

Questa fabbricazione ha un punto critico da verificare: la continuità elettrica fra i contatti di Au ed il film di AZO, che potrebbe essere compromessa dalla topografia dello strato isolante di SiO2 (colore giallo chiaro in figura). In questo esperimento vengono pertanto fabbricate strutture di prova in geometria Van der Pauw di AZO con contatti di Au su finestre di SiO2, depositate a loro volta su substrato isolante di Si(100) ricoperto di ossido termico (600 nm). La sequenza delle operazioni, il processo, i materiali, gli spessori e le tecniche di deposizione sono quelli, identici, che verranno utilizzati nella fabbricazione delle strutture finali. Uniche eccezioni il substrato (SiO2 anziché BTO) e la geometria in piano (quattro contatti anziché uno).

Per la fabbricazione delle strutture di prova viene usata la geometria mostrata qui sotto (per visualizzare il file di fabbricazione in dettaglio si può usare Klayout) che realizza sullo stesso substrato due copie di una struttura Van der Pauw, ciascuna con quattro contatti Au - AZO, i pad (aree di contatto) per la misura elettrica, i fili di collegamento e quattro markers per l'allineamento dei vari layers di fabbricazione:

Geometria per la realizzazione delle strutture di prova.
Vista in pianta della geometria per la realizzazione delle strutture di prova.

Il campo di scrittura per la litografia EBL è di 1 mm x 1 mm. Il colore blu rappresenta il film di Au. I pad per la misura a quattro punte hanno dimensione 200 um x 200 um, mentre i fili hanno larghezza di 5 um nelle parti più sottili. Il colore verde brillante rappresenta la finestra nel film di SiO2, di dimensioni 120 um x 120 um. Il colore viola rappresenta la struttura Van der Pauw di AZO. La resistenza elettrica misurata fra le coppie di contatti della stessa struttura sarà indicativa della continuità elettrica fra Au e AZO.

Fabbricazione

I layers di fabbricazione sono qui descritti:

  • layer 63: marker fields (not manufactured)
  • layer 14: markers (positive resist)
  • layer 12: SiO2 mask (negative resist);
  • layer 13: Au mask (positive resist);
  • layer 11: AZO mask (positive resist);

La fabbricazione delle strutture di prova consiste delle seguenti fasi:

  1. taglio, marcatura e lavaggio del substrato;
  2. spin del resist positivo (AR-P 6200/2, 4000 rpm, rampe 1000 rpm/s, tempo totale 44 s, spessore nominale 200 nm, hotplate 3 min a 150 C), esposizione del layer 14 (20 kV, apertura 30 um, 70 uC/cm2), sviluppo del resist (X AR 600-54/6, 60 s, temperatura ambiente, 30 s stopper AR 600-60), no hardbake;
  3. deposizione di Cr (5 nm) e Au (50 nm) con evaporatore termico NanobioLab;
  4. liftoff del resist positivo con NEP AR 300-72 per 30 min a 50 C + 30 s ultrasuoni 90%, lavaggio con IPA;
  5. spin del resist negativo (AR-N 7500.18, 6000 rpm, rampe 500 rpm/s, tempo totale 52 s, spessore nominale 300 nm, hotplate 85 C per 1 min), esposizione del layer 12 (20 kV, apertura 30 um, 100 uC/cm2), sviluppo del resist (10 min in AR 300-47 4 parti + 1 parte H2O), lavaggio con H2O, no hardbake;
  6. deposizione di SiO2 (100 nm) (sputtering Moorfield, fungo anteriore RF - eventualmente DC su fungo Al accesa per innesco della scarica che va spenta subito dopo! - 70 W, 5 mTorr, RT, 12 giri/min, processo 25, film 25, impostati 2143 A nominali, sensor 1; start layer auto, quando arriva a potenza 10 minuti almeno di stabilizzazione e check rate, poi passaggio in manuale (zero/manual) per la deposizione, tempo di deposizione indicativo: 2h30min; rate indicativa= 0.25 A/s);
  7. liftoff del resist negativo (30 min NEP AR 300-72 a 80 C, 10 s ultrasuoni 90%, lavaggio con IPA);
  8. spin dei resist positivo (vedi punto 2), esposizione del layer 13 (20 kV, apertura 60 um, 70 uC/cm2), sviluppo del resist (vedi punto 2);
  9. deposizione di Cr (5 nm) e Au (100 nm) con evaporatore termico NanobioLab;;
  10. liftoff del resist positivo (70 min in NEP AR 300-72 a 70 C + 7 min ultrasuoni 90%, lavaggio con IPA);
  11. spin del resist positivo (vedi punto 2), esposizione del layer 11 (vedi punto 2), sviluppo del resist (vedi punto 2);
  12. deposizione di AZO (20 nm) e SiO2 (100 nm) (per AZO: sputtering Moorfield, Al fungo posteriore sinistro con schermo 2 fori 37 W DC 268 V 0.14 A, ZnO fungo posteriore destro RF 120 W, 5 mTorr, RT, 12 giri/min, processo 12, film 3, impostati 375 A nominali, sensor 2; start layer auto, quando arriva a potenza 10 minuti almeno di stabilizzazione e check rate, poi passaggio in manuale (zero/manual) per la deposizione, tempo di deposizione indicativo: 5min30sec; rate indicativa= 1,13 A/s a shutter chiuso, 1,16 A/s a shutter aperto); per la deposizione della SiO2 stesse condizioni del passaggio 6;
  13. liftoff del resist positivo (30 min NEP AR 300-72 a 70 C + 1 min ultrasuoni 90%, lavaggio con IPA).

Microscopia ottica

Le seguenti immagini mostrano che le geometrie fabbricate sono sostanzialmente conformi al progetto.

AZO50-4_0016
Immagine al microscopio ottico delle strutture di prova a fabbricazione ultimata.

 

AZO50-4_0018
Dettaglio della parte attiva della struttura di prova.

Profilometria

La seguente figura mostra una scansione 3D della struttura di prova eseguita con profilometro a stilo (raggio dello stilo 2 um, forza applicata 2 mg).

Scansione 3D della struttura di prova eseguita con profilometro a stilo.
Scansione 3D della struttura di prova eseguita con profilometro a stilo.

Gli spessori misurati dei diversi strati risultano essere:

  • SiO2 (colore azzurro-verde): 117 nm;
  • AZO (colore verde-giallo): 170 nm (include il capping di SiO2);
  • Au (colore bianco-verde): 40 nm (include il buffer di Cr).

Gli spessori effettivi dei film di ossido risultano essere maggiori di quelli nominali (+17% SiO2, +42% AZO), specialmente l'AZO, ma quest'ultimo può essere dovuto al drogante Al co-depositato insieme al capping di SiO2. Da chiarire invece il basso spessore del film di Au (-60% rispetto al nominale).

Misure elettriche

Misure I-V. Sono state eseguite misure I-V sulle coppie di contatti contigui e non contigui. Le misure evidenziano un comportamento ohmico.

Misure I (source) V (measure) fra le coppie di contatti. La disposizione dei contatti è illustrata nell'inserto.
Misure I (source) V (measure) fra le coppie di contatti del dispositivo C2. La disposizione dei contatti è illustrata nell'inserto.

Alla massima corrente iniettata (10 uA) la tensione applicata risulta essere 390 mV ± 30 mV. Tale valore include le tensioni di contatto.

Misure con metodo Van der Pauw ottenute iniettando corrente su un lato e misurando la tensione sul lato opposto permettono di misurare la sheet resistance del materiale AZO, eliminando le tensioni di contatto. I valori di resistenza misurati sul dispositivo C2 sono i seguenti:

  • R1234 = 973.15 Ohm
  • R2341 = 992.58 Ohm
  • R3412 = 973.14 Ohm
  • R4123 = 992.54 Omh

I valori molto simili fra loro indicano buona simmetria. La sheet resistance risulta essere di 4.45 kOhm/square, che moltiplicato per lo spessore nominale di 20 nm fornisce un valore di resistività del materiale AZO pari a 8.90e-5 Ohm·m = 8.90e-3 Ohm·cm.

Simulazioni a elementi finiti della distribuzione del potenziale elettrico eseguite per la geometria del materiale AZO assumendo una sheet resistance del materiale di 4.45 kOhm/square mostrano che per una corrente iniettata di 10 uA, la tensione sulle coppie di elettrodi vale 298 mV (se gli elettrodi sono contigui) oppure 308 mV (se gli elettrodi elettrodi non sono contigui), come mostrato qui sotto:

Simulazioni a elementi finiti del potenziale elettrico sulla
Simulazioni a elementi finiti del potenziale elettrico sulla geometria del materiale AZO. Si assume una sheet resistance di 4.45 kOhm/square. La corrente iniettata in entrambi i casi è di 10 uA.

I valori misurati di (390 ± 30) mV includono le tensioni di contatto. Dal confronto con le simulazioni a elementi finiti si può stimare che le tensioni di contatto (due in serie) sono dell'ordine di 45 ± 15 mV ciascuna.

 

Depositate quantità pesate di Cr (crogiuolo di destra, corrente 200 - 210 A) e Au (crogiuolo di sinistra, corrente 230 - 240 A) attraverso shadow mask (cerchi Ø 800 μm, pitch 1400 μm). Misurato spessore risultante con profilometro. Questi i risultati:

materiale spessore depositato / massa evaporata
Cr 22 Å/mg
Au 12 Å/mg

Au è in forma di filo Ø 1 mm, quindi per depositare 50 nm di Au occorrono 2.2 mm di filo.