Skip to content

  1. Lavaggio wafer 4" con 680 nm SiO2: ACE 3 min @ 80 °C + IPA 3 min @ 80 °C;
  2. RIE - Hard plasma clean (300 W, 50 sccm O2, 5 min);
  3. Trattamento con HDMS a 150 °C;
  4. Spin 3 ml photoresist negativo ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out;
  5. Prebake 100 °C × 2 min;
  6. Exposure 20 s @ 4.5 mW/cm2 CI1;
  7. Develop 1 min 20 s con ma-D 533-S + DI rinse and blow dry N2;
  8. Etch 10 min con BOE;
  9. Remove photoresist con NMP 3 min RT + DI rinse and blow dry N2;
  10. Taglio campioni 20 × 20 mm con diamond scriber force 15 g;
  11. Lavaggio con ACE 3 min @ 80 °C + IPA 3 min @ 80 °C;
  12. RIE - Hard plasma clean;
  13. Trattamento con HDMS a 150 °C;
  14. Spin 0.5 ml photoresist negativo ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out;
  15. Prebake 100 °C x 2 min;
  16. Exposure 15 s @ 4.5 mW/cm2 CI1 - maschera wires;
  17. Develop 1 min 20 s con ma-D 533-S + DI rinse and blow dry N2;
  18. Sputter deposition 5 nm Cr + 500 nm Al-Si 1%;
  19. Soak in NMP 15 min @ 80 °C + 3 min US @ power 50% + 1 min US power 100%;
  20. DI rinse and dry;
  21. RIE - Hard plasma clean;
  22. Annealing @ 400 °C × 60 min in flusso N2;
  23. Lavaggio con IPA 3 min @ 80 °C;
  24. RIE - Hard plasma clean;
  25. Trattamento con HDMS a 150 °C;
  26. Spin 0.5 ml photoresist negativo ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out;
  27. Prebake 100 °C x 2 min;
  28. Exposure 15 s @ 4.5 mW/cm2 CI1 - maschera devices;
  29. Develop 1 min 20 s con ma-D 533-S + DI rinse and dry;
  30. Taglio dies 3.5 × 3.5 mm con diamond scriber force 15 g;
  31. Lavaggio con DI + blow dry N2 su spinner 10.000 rpm.
  32. Cernita delle sonde: fabbricate n. 64, scartate n. 12, utilizzabili n. 52.

Parametri bonder Kulicke-Soffa:
1st bond (pad): force 1.5, time 2, power 2.2
2nd bond (portachip): force 2, time 2, power 2.1
N.B.: per pad difficoltosi portare power a 2.4

  • tagliato substrati Si(100) + 600 nm SiO2 20x20 mm.
  • lavati con ACE 80 C + IPA 80 C x 3 min + ultrasuoni.
  • RIE Hard cleaning (300 W plasma O2 x 5 min).
  • dehydration + HMDS in forno a vuoto.
  • spin ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out.
  • prebake 100 C x 120 s hotplate.
  • test tempo di sviluppo con ma-D 533-S su unexposed resist: 1 min 20 s, incluso incremento 20% per undercut.
  • esposizione 15 s CI1 @ 4.5 mW/cm2 maschera 'wires'.
  • sviluppo 1 min 20 s con ma-D 533-S + DI rinse.
  • deposizione per sputtering 5 nm Cr + 500 nm Al
  • liftoff con NMP 3 min 80 C + 3 min 80 C + ultrasuoni (risultato metallizzazione imprecisa, forse film troppo spesso).
  • RIE Hard cleaning (300 W plasma O2 x 5 min).
  • dehydration + HMDS in forno a vuoto.
  • spin ma-N 1420 @ 5000 rpm x 30 s con 5 s @ 500 rpm per spread out.
  • prebake 100 C x 120 s hotplate.
  • esposizione 15 s CI1 @ 4.5 mW/cm2 maschera 'devices'.
  • sviluppo 1 min 20 s con ma-D 533-S + DI rinse.

Non è stato possibile bondare i pad causa distacco della metallizzazione dal substrato. Annealing in vuoto o i N2 a 500 C per 60 min risolve il problema. Parametri ottimali per il bonder: 1st bond (pad) force=1, time=1.5, power=2.1, 2nd bond (PCB) force=2, time=3, power=2.3. Al wire 25 um.

Valutazione del tempo di sviluppo e della dose di esposizione per il resist negativo ma-N 1420.

Procedura
- Spin del photoresist a 5000 rpm x 30", preceduta da spreading a 500 rpm per 10", accelerazione 1500 rpm/sec2, static dispense.
- Prebake a 100 °C per 120".

Si preparano 4 substrati come riportato sopra - SiO2 600 nm on Si(100) - e si misura il tempo di rimozione completa del resist non-esposto in developer ma-D 533-S. Il tempo di rimozione del resist non-esposto risulta essere 61±4 s. Si assume quindi un tempo di sviluppo maggiorato del 20% pari a 73 s.

Per la valutazione del tempo di esposizione si preparano quattro substrati coated come riportato sopra e si espongono nel mask aligner con maschera vetro-cromo e canale CI1 per tempi di esposizione decrescenti. Si sviluppano per per 73 s e si osserva la qualità delle geometrie sviluppate al microscopio. La qualità delle diverse geometrie è roportata qui sotto.

SampleExp CI1 [s]PadsWiresNumbersWritingsThickness [µm]
A60OKOK50%10%1.644
B40OKOKOK30%1.594
C30no prebake
D20OKOKOK50%1.558

La dose di esposizione ideale sembra quindi essere fra 40 e 20 s.

Deposizione 50 nm (nom) di Nb in DC, 70 W, 5 mTorr, rotazione 12 rpm, RT, sorgente centrale su vetrino microscopio. Misura spessore con profilometro e sheet resistance con 4 point probe in funzione della distanza dal bordo.

Vetrino montato su sample holder sputtering.
Vetrino dopo liftoff pennarello.
Spessore e sheet resistance vs distanza dal bordo.

Commenti: 1) misura spessore rumorosa (pulizia vetrino? spessore basso?); 2) da verificare: effetto distanza dal bordo nella misura 4 punte - probabilmente primo e ultimo punto sono da scartare.

L'illuminazione della cella è fatta con lampada a Xe ad alta pressione (Osram XBO 75W/2, versione non-ozone-free) alimentata alla corrente nominale (5.4 A), inserita in illuminatore Oriel serie Q (mod. 60064) con condensatrice F1 (mod. 60076), specchio dicroico a 90° (mod. 66245) e diaframma a iride (mod. 62030). La lente condensatrice e il diaframma vengono impostati in modo da avere uno spot di diametro circa 1 cm il più uniforme possibile a una distanza di lavoro di circa 7 cm.

Pippo
Sistema di illuminazione della cella DSSC con lampada high-pressure Xe arc.

La misura della fotocorrente è fatta con un sourcemeter (source I, measure V) Keithley mod. 2400. L'elettrodo positivo della cella (Pt) viene collegato a input/output (+); l'elettrodo negativo della cella (TiO2) viene collegato a input/output (-). Il software di acquisizione (IVscan.exe) fa operare il sourcemeter in 4-wires mode, quindi è necessario collegare i terminali 4-wire sense ai corrispettivi input/output, rispettando le polarità. Vengono eseguite scansioni di corrente sul IV quadrante, ossia impostando correnti negative e misurando tensioni positive. Occorre valutare con una certo margine di sicurezza la corrente massima da prelevare per evitare il cambio di polarità della cella.

I-V
Curve I-V della cella DSSC (rosso) e del fotodiodo al Si di riferimento (blu).

La cella illuminata (area attiva 6x6 mm2) ha una tensione a circuito aperto pari a 668 mV, una corrente di cortocircuito di 5.3 mA ed una potenza erogata massima di 2.00 mW (circa 430 mV, 4.6 mA). Nelle stesse condizioni di illuminazione viene anche eseguita una misura I-V su un fotodiodo al Si (Centronic UV Enhanced OSD100-7) preso come riferimento (curva blu).

Abbiamo utilizzato il Test Cell Kit (mod. 74992) fornito dalla Solaronix.

  1. Sintering strato di titania: 450°C per 20 minuti.
  2. Preparazione soluzione colorante: 2.02 mg di dye – 6.58 mg acido – 5 mL metanolo.
  3. Al termine del lo step 1, il vetro TCO con lo strato di titania viene immerso nella soluzione per 10h30’.
  4. In parallelo allo step 3 di colorazione, è stato effettuato il sintering del catodo (vetro TCO con lo strato di platino) a 450°C per 20 minuti.
  5. Assemblaggio cella utilizzando i gasket del kit. L’anodo e il catodo vengono opportunamente sovrapposti in modo che il foro presente sul cadoto cada all’interno dell’area ricoperta dalla titania. La cella viene appogiata sul disco di Si del forno. Sulla superficie superiore viene appoggiato un isolante termico (in particolare tre vetrini da laboratorio) e un peso, come in figura. La chiusura della cella avviene alla temperatura di 140°C ed ha una durata di 45 secondi.
  6. Infine la cella è stata sigillata utilizzando i tappi forniti dal kit. Per fissare il tappo, il parallelepipedo metallico ‘leggero’ è stato appogiato per una decina di minuti sulla piastra del forno a 140°C e poi è stato appogiato sulla superficie superiore della cella.

pippo
Sigillatura della cella DSSC con gasket in Surlyn.

Questo esperimento è preliminare alla fabbricazione litografica di strutture gated di AZO su BTO, il cui processo di fabbricazione è illustrato qui sotto:

caption
Vista in sezione del processo di fabbricazione litografica di strutture gated di AZO su BTO. La parte attiva del dispositivo è il condensatore a facce parallele formato dall'elettrodo superiore di AZO, dal dielettrico BTO e dall'elettrodo inferiore formato dal substrato conduttivo in STO:Nb (non riportato in figura). Il condensatore viene polarizzato attraverso l'elettrodo in Au ed il substrato. Sullo strato di SiO2 vengono ricavate le finestre che definiscono la parte attiva del dispositivo.

Tutta la struttura verrà fabbricata su un substrato di STO:Nb (substrato conduttivo, non indicato in figura, con funzione di elettrodo di riferimento) sul quale viene depositato un film di BTO. Si prevede che il film di BTO possa contenere difetti (e.g. conduction paths) e quindi non può essere considerato un film isolante. Per questo motivo viene depositato lo strato indicato come SiO2, che fornisce un supporto isolante agli elettrodi in Au e definisce le aree attive del dispositivo. Il film indicato come "AZO" (colore rosso in figura) è un bistrato di AZO (20 nm), conduttivo, ricoperto da uno strato protettivo ed isolante di SiO2 (100 nm). Infine lo strato indicato come Au (colore giallo in figura) è un bistrato Cr (5 nm) ricoperto di Au (100 nm). La tensione di gate viene applicata, attraverso il contatto di Au, fra il film di AZO ed il substrato, polarizzando quindi il film ferroelettrico di BTO.

Questa fabbricazione ha un punto critico da verificare: la continuità elettrica fra i contatti di Au ed il film di AZO, che potrebbe essere compromessa dalla topografia dello strato isolante di SiO2 (colore giallo chiaro in figura). In questo esperimento vengono pertanto fabbricate strutture di prova in geometria Van der Pauw di AZO con contatti di Au su finestre di SiO2, depositate a loro volta su substrato isolante di Si(100) ricoperto di ossido termico (600 nm). La sequenza delle operazioni, il processo, i materiali, gli spessori e le tecniche di deposizione sono quelli, identici, che verranno utilizzati nella fabbricazione delle strutture finali. Uniche eccezioni il substrato (SiO2 anziché BTO) e la geometria in piano (quattro contatti anziché uno).

Per la fabbricazione delle strutture di prova viene usata la geometria mostrata qui sotto (per visualizzare il file di fabbricazione in dettaglio si può usare Klayout) che realizza sullo stesso substrato due copie di una struttura Van der Pauw, ciascuna con quattro contatti Au - AZO, i pad (aree di contatto) per la misura elettrica, i fili di collegamento e quattro markers per l'allineamento dei vari layers di fabbricazione:

Geometria per la realizzazione delle strutture di prova.
Vista in pianta della geometria per la realizzazione delle strutture di prova.

Il campo di scrittura per la litografia EBL è di 1 mm x 1 mm. Il colore blu rappresenta il film di Au. I pad per la misura a quattro punte hanno dimensione 200 um x 200 um, mentre i fili hanno larghezza di 5 um nelle parti più sottili. Il colore verde brillante rappresenta la finestra nel film di SiO2, di dimensioni 120 um x 120 um. Il colore viola rappresenta la struttura Van der Pauw di AZO. La resistenza elettrica misurata fra le coppie di contatti della stessa struttura sarà indicativa della continuità elettrica fra Au e AZO.

Fabbricazione

I layers di fabbricazione sono qui descritti:

  • layer 63: marker fields (not manufactured)
  • layer 14: markers (positive resist)
  • layer 12: SiO2 mask (negative resist);
  • layer 13: Au mask (positive resist);
  • layer 11: AZO mask (positive resist);

La fabbricazione delle strutture di prova consiste delle seguenti fasi:

  1. taglio, marcatura e lavaggio del substrato;
  2. spin del resist positivo (AR-P 6200/2, 4000 rpm, rampe 1000 rpm/s, tempo totale 44 s, spessore nominale 200 nm, hotplate 3 min a 150 C), esposizione del layer 14 (20 kV, apertura 30 um, 70 uC/cm2), sviluppo del resist (X AR 600-54/6, 60 s, temperatura ambiente, 30 s stopper AR 600-60), no hardbake;
  3. deposizione di Cr (5 nm) e Au (50 nm) con evaporatore termico NanobioLab;
  4. liftoff del resist positivo con NEP AR 300-72 per 30 min a 50 C + 30 s ultrasuoni 90%, lavaggio con IPA;
  5. spin del resist negativo (AR-N 7500.18, 6000 rpm, rampe 500 rpm/s, tempo totale 52 s, spessore nominale 300 nm, hotplate 85 C per 1 min), esposizione del layer 12 (20 kV, apertura 30 um, 100 uC/cm2), sviluppo del resist (10 min in AR 300-47 4 parti + 1 parte H2O), lavaggio con H2O, no hardbake;
  6. deposizione di SiO2 (100 nm) (sputtering Moorfield, fungo anteriore RF - eventualmente DC su fungo Al accesa per innesco della scarica che va spenta subito dopo! - 70 W, 5 mTorr, RT, 12 giri/min, processo 25, film 25, impostati 2143 A nominali, sensor 1; start layer auto, quando arriva a potenza 10 minuti almeno di stabilizzazione e check rate, poi passaggio in manuale (zero/manual) per la deposizione, tempo di deposizione indicativo: 2h30min; rate indicativa= 0.25 A/s);
  7. liftoff del resist negativo (30 min NEP AR 300-72 a 80 C, 10 s ultrasuoni 90%, lavaggio con IPA);
  8. spin dei resist positivo (vedi punto 2), esposizione del layer 13 (20 kV, apertura 60 um, 70 uC/cm2), sviluppo del resist (vedi punto 2);
  9. deposizione di Cr (5 nm) e Au (100 nm) con evaporatore termico NanobioLab;;
  10. liftoff del resist positivo (70 min in NEP AR 300-72 a 70 C + 7 min ultrasuoni 90%, lavaggio con IPA);
  11. spin del resist positivo (vedi punto 2), esposizione del layer 11 (vedi punto 2), sviluppo del resist (vedi punto 2);
  12. deposizione di AZO (20 nm) e SiO2 (100 nm) (per AZO: sputtering Moorfield, Al fungo posteriore sinistro con schermo 2 fori 37 W DC 268 V 0.14 A, ZnO fungo posteriore destro RF 120 W, 5 mTorr, RT, 12 giri/min, processo 12, film 3, impostati 375 A nominali, sensor 2; start layer auto, quando arriva a potenza 10 minuti almeno di stabilizzazione e check rate, poi passaggio in manuale (zero/manual) per la deposizione, tempo di deposizione indicativo: 5min30sec; rate indicativa= 1,13 A/s a shutter chiuso, 1,16 A/s a shutter aperto); per la deposizione della SiO2 stesse condizioni del passaggio 6;
  13. liftoff del resist positivo (30 min NEP AR 300-72 a 70 C + 1 min ultrasuoni 90%, lavaggio con IPA).

Microscopia ottica

Le seguenti immagini mostrano che le geometrie fabbricate sono sostanzialmente conformi al progetto.

AZO50-4_0016
Immagine al microscopio ottico delle strutture di prova a fabbricazione ultimata.

 

AZO50-4_0018
Dettaglio della parte attiva della struttura di prova.

Profilometria

La seguente figura mostra una scansione 3D della struttura di prova eseguita con profilometro a stilo (raggio dello stilo 2 um, forza applicata 2 mg).

Scansione 3D della struttura di prova eseguita con profilometro a stilo.
Scansione 3D della struttura di prova eseguita con profilometro a stilo.

Gli spessori misurati dei diversi strati risultano essere:

  • SiO2 (colore azzurro-verde): 117 nm;
  • AZO (colore verde-giallo): 170 nm (include il capping di SiO2);
  • Au (colore bianco-verde): 40 nm (include il buffer di Cr).

Gli spessori effettivi dei film di ossido risultano essere maggiori di quelli nominali (+17% SiO2, +42% AZO), specialmente l'AZO, ma quest'ultimo può essere dovuto al drogante Al co-depositato insieme al capping di SiO2. Da chiarire invece il basso spessore del film di Au (-60% rispetto al nominale).

Misure elettriche

Misure I-V. Sono state eseguite misure I-V sulle coppie di contatti contigui e non contigui. Le misure evidenziano un comportamento ohmico.

Misure I (source) V (measure) fra le coppie di contatti. La disposizione dei contatti è illustrata nell'inserto.
Misure I (source) V (measure) fra le coppie di contatti del dispositivo C2. La disposizione dei contatti è illustrata nell'inserto.

Alla massima corrente iniettata (10 uA) la tensione applicata risulta essere 390 mV ± 30 mV. Tale valore include le tensioni di contatto.

Misure con metodo Van der Pauw ottenute iniettando corrente su un lato e misurando la tensione sul lato opposto permettono di misurare la sheet resistance del materiale AZO, eliminando le tensioni di contatto. I valori di resistenza misurati sul dispositivo C2 sono i seguenti:

  • R1234 = 973.15 Ohm
  • R2341 = 992.58 Ohm
  • R3412 = 973.14 Ohm
  • R4123 = 992.54 Omh

I valori molto simili fra loro indicano buona simmetria. La sheet resistance risulta essere di 4.45 kOhm/square, che moltiplicato per lo spessore nominale di 20 nm fornisce un valore di resistività del materiale AZO pari a 8.90e-5 Ohm·m = 8.90e-3 Ohm·cm.

Simulazioni a elementi finiti della distribuzione del potenziale elettrico eseguite per la geometria del materiale AZO assumendo una sheet resistance del materiale di 4.45 kOhm/square mostrano che per una corrente iniettata di 10 uA, la tensione sulle coppie di elettrodi vale 298 mV (se gli elettrodi sono contigui) oppure 308 mV (se gli elettrodi elettrodi non sono contigui), come mostrato qui sotto:

Simulazioni a elementi finiti del potenziale elettrico sulla
Simulazioni a elementi finiti del potenziale elettrico sulla geometria del materiale AZO. Si assume una sheet resistance di 4.45 kOhm/square. La corrente iniettata in entrambi i casi è di 10 uA.

I valori misurati di (390 ± 30) mV includono le tensioni di contatto. Dal confronto con le simulazioni a elementi finiti si può stimare che le tensioni di contatto (due in serie) sono dell'ordine di 45 ± 15 mV ciascuna.

 

Depositate quantità pesate di Cr (crogiuolo di destra, corrente 200 - 210 A) e Au (crogiuolo di sinistra, corrente 230 - 240 A) attraverso shadow mask (cerchi Ø 800 μm, pitch 1400 μm). Misurato spessore risultante con profilometro. Questi i risultati:

materiale spessore depositato / massa evaporata
Cr 22 Å/mg
Au 12 Å/mg

Au è in forma di filo Ø 1 mm, quindi per depositare 50 nm di Au occorrono 2.2 mm di filo.

Matrice di esposizione dose × tempo di sviluppo per resist CSAR 62 spinned at 6000 rpm (rampa 2000 rpm/s per 60 s totali) e softbaked a 150 °C per 3 min su substrato SiO2 (6000 Å)/Si. La dose-to-clear, dipendente dal tempo di sviluppo, risulta essere la seguente:

Development time
[s]
Dose-to-clear
[μC/cm²]
45 80
60 70 - 80
90 60

Non si osservano particolari differenze sulla qualità delle strutture sviluppate a diversi tempi si sviluppo. Qui sotto le immagini del resist sviluppato (+ 7 nm di Au) a diversi tempi si sviluppo.


(Click sull'immagine per massima risoluzione)

Per le prossime esposizioni useremo 80 μC/cm² ed un tempo di sviluppo di 60 s.

Verifica dei risultati sperimentali riportati da Nam et al. su wet etch di AZO con diversi reagenti, in particolare HCl diluito. Si deposita il campione AZO#18 (ZnO RF 120W, Al DC 140 W, 273 V 0.51 A spessore nominale 4000 Å). Si depositano 4 contatti ohmici in Al per evaporazione termica (shadow mask 4 dots, 400 μm diam, 1400 μm pitch). Un test preliminare eseguito su un film di Al 2000 Å/SiO2 mostra che i contatti di Al non vengono apprezzabilmente erosi da HCl 0.05 M: dopo 10 min di etch la sheet resistance del film di Al passa da 204.2 mΩ/□ a 228 mΩ/□, anche se dopo qualche minuto si osserva esfoliazione in piccole aree del film. Sul film di AZO#18 si misura la sheet resistance in funzione del tempo di etching in HCl 0.05 M (4.16 ml di HCl 37% in 1000 ml di soluzione):

Etch time [s] Sheet resistance [Ω/□]
0 31.99
10 82.76
20 1057
30

La rimozione completa del film di AZO#18 avviene per tempi di etching compresi fra i 20 e i 30 s. La velocità di etching (assumendo uno spessore nominale di 372 nm, vedi più sotto) è di circa 900 nm/min.

Spin coating di 200 nm di CSAR 62 (6000 rpm, rampa 2000 rpm/s, tempo 60 s) su campione AZO#17 (ZnO RF 120W, Al DC 160 W 279 V 0.57 A, spessore nominale 4000 Å). Esposizione di 8 aree 30 μm × 100 μm con dose 100 μC/cm². Sviluppo 45 s in developer. Stop in DI. Blow N2. Misura profilometro spessore resist 130 ± 7 nm. Etching di due campioni di AZO#17 in HCl 0.05 M rispettivamente per 30 s e per 60 s. Rimozione resist in NMP per 30 s. Misura profilometro spessore film AZO 372 ± 3 nm. Qui sotto le foto al microscopio ottico dei due campioni in diverse fasi del processo.

Si osserva che l'undercut è di circa 2 μm e 4 μm rispettivamente dopo 30 s e 60 s di etching, ossia la velocità di etching laterale è di 4 μm/min. La differenza fra le velocità di etching potrebbe essere dovuta ad una "saturazione" della soluzione etchante causata dalla bassa concentrazione di HCl.